模拟集成电路与数字集成电路设计工具实用教程

本书特色

[

本书结合多年的集成电路设计和CAD/EDA工具使用经验编写,辅以不同的设计实例和流程,介绍相应的典型工具的使用。 本书分为三个部分,共18章。*部分(第1~5章)是模拟集成电路设计工具及使用,主要内容包括:电路仿真工具软件使用,设计实例——基准源、噪声、开关电容设计及验证,版图绘制及其工具软件,版图验证与后仿真,设计所需规则文件的详细说明。第二部分(第6~13章)是数字集成电路设计工具及使用,主要内容包括:系统级建模与数模混合仿真,数字电路设计与Verilog HDL,硬件描述语言的软件仿真与FPGA硬件验证,逻辑综合与Design Compiler,自动布局布线及Astro,布局布线工具IC Compiler,数字集成电路设计的验证方法,可测性设计及可测性设计软件使用。第三部分(第14~18章)是Linux操作系统及其他相关知识,主要内容包括:Linux系统常用命令,Memory Compiler软件Embed-It Integrator使用方法,数字IC功耗分析工具PTPX使用方法,流片前的Check List,集成电路设计领域常用英文缩略语。本书提供配套电子课件、仿真程序源文件等。 本书可以作为微电子及相关专业的研究生和高年级本科生的集成电路课程的参考教材,也适合于作为集成电路领域的科研人员和工程师的参考资料。

]

内容简介

[

◎提供配套电子课件、仿真程序源文件等;◎从应用的角度引导读者学习、掌握软件的使用;◎选取典型的工具,每部分的主体设计流程均经过流片和测试验证;◎实例都取自实际科研和教学项目,具有一定的代表性和实用性。

]

作者简介

[

韩雁:博士、教授、博导。浙江大学微电子与光电子研究所副所长。1982年毕业于浙大半导体器件专业。长期从事微电子学与集成电路设计相关领域的教学、科研工作。中国半导体行业协会IC分会理事、浙江省电源学会常务理事、半导体行业协会常务理事。从事微电子学科及集成电路设计、功率器件设计方向的教学、科研工作,承担过国家863 IC设计重大专项、国家科技重大专项(核高基)、国家自然科学基金、教育部博士点基金、浙江省自然科学基金、重大横向课题、海外合作项目在内的近50项科研项目。

]

目录

目 录 **部分 模拟集成电路设计工具及使用 1第1章 电路仿真工具软件使用 31.1 Cadence电路仿真工具包 31.1.1 Cadence设计环境简介 31.1.2 电路图输入工具Virtuoso Schematic Composer 51.1.3 仿真环境工具Analog Design Environment 61.1.4 仿真结果的显示及处理 91.1.5 建立子模块 101.1.6 示例(D触发器) 111.2 Hspice的使用 131.2.1 Hspice简介 131.2.2 *.sp文件的生成 141.2.3 Hspice的运行与仿真 141.3 Ultrasim仿真技术 151.3.1 Ultrasim技术简介 151.3.2 Ultrasim仿真环境设置 161.4 芯片封装的建模与带封装信息的仿真 191.4.1 Modeling RF IC Packages简介 191.4.2 PKG的具体使用 19第2章 设计实例——基准源、噪声、开关电容设计及验证 242.1 电压基准源设计 242.1.1 电压基准源简介 242.1.2 电压基准源分类 242.1.3 实现带隙基准源的原理 252.1.4 基准源启动电路 262.1.5 基准源噪声 272.1.6 基准源输出驱动 272.1.7 基准源计算机仿真 282.1.8 基准源的版图设计 312.2 CMOS集成电路噪声分析及仿真 312.2.1 噪声类型 322.2.2 噪声分析方法 332.2.3 连续时间系统的噪声仿真步骤 342.3 开关电容电路仿真 362.3.1 开关电容电路背景知识 362.3.2 开关电容电路的精度 372.3.3 使用双相无交叠时钟的开关电容电路的分析方法 382.3.4 开关电容电路的Cadence仿真方法 392.3.5 开关电容电路频率响应仿真 402.3.6 开关电容电路的噪声仿真 42第3章 版图绘制及其工具软件 493.1 典型CMOS工艺流程简介 493.2 设计规则简介 523.3 Virtuoso软件简介及使用 533.3.1 Virtuoso软件启用 533.3.2 Virtuoso中快捷键的使用 563.3.3 反相器版图绘制举例 573.3.4 PDK简介 593.4 Laker软件简介及使用 623.4.1 Laker使用时需要的文件 623.4.2 Laker软件启用及主窗口 633.4.3 Laker基本的版图编辑功能介绍 633.4.4 Laker特有的高级版图编辑功能介绍 663.4.5 原理图驱动的版图编辑 673.4.6 设计实例 683.5 版图设计中的相关主题 733.5.1 天线效应 733.5.2 Dummy的设计 733.5.3 Guard Ring的设计 753.5.4 Match的设计 76第4章 版图验证与后仿真 784.1 版图验证与后仿真简介 784.2 Diva验证工具 794.2.1 Diva DRC规则文件 794.2.2 Diva版图提取文件 814.2.3 LVS文件的介绍 834.2.4 寄生参数提取文件 834.2.5 Diva的使用 844.3 Calibre验证工具 884.3.1 Calibre规则文件 884.3.2 Calibre的用法 894.3.3 数模混合电路LVS的操作方法 94第5章 设计所需规则文件的详细说明 965.1 完整的Diva DRC、Extract、LVS规则文件 965.1.1 Diva DRC规则文件 965.1.2 Diva Extract规则文件 975.1.3 Diva LVS规则文件 985.2 Diva 层次处理语句的图文解释 995.2.1 逻辑命令 995.2.2 关系命令 1015.2.3 选择命令 1045.2.4 尺寸命令 1055.2.5 层生成命令 1065.2.6 存储命令 1075.3 Diva中DRC和寄生参数提取语句 1075.3.1 Diva DRC语句 1075.3.2 Diva寄生参数提取语句 110第二部分 数字集成电路设计工具及使用 113第6章 系统级建模与数模混合仿真 1166.1 MATLAB简介 1176.2 MATLAB的Toolboxes 1176.2.1 数字信号处理 1186.2.2 滤波器设计 1186.2.3 Link For ModelSim 1196.3 MATLAB的编程 1226.4 Simulink仿真基础 1236.4.1 Simulink简介 1236.4.2 Simulink的模块 1246.4.3 Simulink仿真参数的设定 1246.4.4 Simulink系统仿真的简单实例 1256.5 Verilog-A简介 1306.6 Verilog-A的编程 1306.6.1 基本语法 1316.6.2 基本表达式 1326.6.3 模拟运算符 1326.6.4 Verilog-A仿真 1336.7 Verilog-A建模实例 1336.7.1 反相器 1336.7.2 利用Cadence中的向导产生模拟模块 1366.8 SpectreVerilog混合信号仿真 1376.8.1 SpectreVerilog仿真简介 1376.8.2 创建模拟模块 1376.8.3 创建数字模块 1386.8.4 设置仿真配置文件 1386.8.5 设置和检查模块划分 1396.8.6 设置数模接口 1416.8.7 设置仿真菜单及仿真结果 141第7章 数字电路设计与Verilog HDL 1437.1 HDL设计方法学简介 1437.1.1 数字电路设计方法 1437.1.2 硬件描述语言 1437.1.3 设计方法学 1447.1.4 Verilog HDL简介 1447.2 Verilog HDL建模概述 1467.2.1 模块 1467.2.2 时延 1487.2.3 三种建模方式 1487.3 Verilog HDL基本语法 1517.3.1 标识符 1517.3.2 注释 1527.3.3 格式 1527.3.4 数字值集合 1527.3.5 数据类型 1547.3.6 运算符及表达式 1557.3.7 条件语句 1597.3.8 case语句 1607.4 结构建模 1617.4.1 模块定义 1617.4.2 模块端口 1617.4.3 实例化语句 1617.5 数据流建模 1627.5.1 连续赋值语句 1637.5.2 阻塞赋值语句 1637.5.3 非阻塞赋值语句 1647.5.4 数据流建模具体实例 1657.6 行为建模 1667.6.1 简介 1667.6.2 顺序语句块 1667.6.3 过程赋值语句 1667.7 可综合设计 1687.7.1 设计准则 1687.7.2 进程划分准则 1697.7.3 可综合子集 1697.7.4 可综合设计中的组合电路设计 1697.7.5 可综合设计中的时序电路设计 169第8章 硬件描述语言的软件仿真与FPGA硬件验证 1708.1 ModelSim的使用 1708.1.1 ModelSim的启动 1708.1.2 ModelSim仿真流程 1718.1.3 编译工艺资源库 1738.1.4 调试 1738.1.5 ModelSim仿真小结 1748.2 NC-Verilog的使用 1748.2.1 ncvlog命令 1748.2.2 ncelab命令 1768.2.3 ncsim命令 1778.2.4 NC-Verilog仿真小结 1788.3 用Debussy调试仿真结果 1788.4 HDL仿真总结 1808.5 FPGA硬件验证 1808.5.1 FPGA基本组成 1808.5.2 FPGA设计流程 1818.5.3 FPGA下载配置 184第9章 逻辑综合与Design Compiler 1919.1 逻辑综合综述 1919.2 用Design Compiler综合电路 1929.2.1 Design Analyzer的启动 1939.2.2 设计读入 1949.2.3 链接 1969.2.4 实例唯一化 1979.2.5 设计环境 1979.2.6 设计约束 2029.2.7 设计的逻辑综合 2069.2.8 逻辑综合结果的分析 2079.2.9 逻辑综合结果保存 2099.2.10 时序约束文件的导出 2109.3 Synplify的使用方法 2109.3.1 Synplify概述 2109.3.2 Synplify设计流程 2109.3.3 Synplify文件类型总结 2139.4 逻辑综合总结 213第10章 自动布局布线及Astro 21410.1 Astro简介 21410.2 数据准备 21410.2.1 库文件 21410.2.2 工艺文件 21710.2.3 设计文件 21710.3 利用Astro进行布局布线的设计流程 21810.3.1 工具启动 21910.3.2 创建设计库 21910.3.3 读入网表文件 22010.3.4 打开设计库和设计单元 22110.3.5 布图规划 22210.3.6 布局 22910.3.7 时钟树综合 23510.3.8 布线前的电源/地线检查 24010.3.9 布线 24010.3.10 可制造性设计处理 24610.3.11 版图验证 24610.3.12 数据输出 247第11章 布局布线工具IC Compiler 24911.1 IC Compiler简介 24911.2 ICC后端设计须知 25011.2.1 后端设计中常用文件的格式说明 25011.2.2 I/O库与标准单元库中的特殊单元 25111.2.3 ICC中的静态时序分析 25211.2.4 ICC中的MCMM 25611.3 利用ICC进行布局布线的设计流程 25811.3.1 设计准备 25811.3.2 布图规划 26511.3.3 布局 27611.3.4 时钟树综合 27911.3.5 布线 28611.3.6 DFM(可制造性设计) 28911.3.7 版图验证 29511.3.8 数据输出 29611.3.9 ECO 297第12章 数字集成电路设计的验证方法 29912.1 OVM验证方法学介绍 29912.2 验证工具QuestaSim软件介绍 30212.3 使用OVM搭建验证环境 30712.4 随机验证 31512.5 形式验证及Formality软件使用方法 31812.5.1 设置Reference Design 32012.5.2 设置Implementation Design 32212.5.3 设置环境 32312.5.4 Match 32412.5.5 Verify 32412.5.6 Debug 32512.5.7 形式验证中所用的脚本及代码 32512.6 静态时序验证及PrimeTime软件使用方法 32812.6.1 静态时序验证 32812.6.2 静态时序分析原理 32912.6.3 基于PrimeTime的静态时序分析 330第13章 可测性设计及可测性设计软件使用 33613.1 可测性设计基础 33613.1.1 测试 33613.1.2 可测性设计 33613.1.3 故障模型 33613.1.4 自动测试矢量生成 33813.1.5 可测性设计的常用方法 33813.2 使用DFTC进行可测性设计 34013.2.1 Synopsys的DFT流程 34013.2.2 DFT扫描链插入 34213.2.3 Synopsys Adaptive Scan压缩 34413.3 使用TetraMAX进行ATPG生成 34413.3.1 TetraMAX的图形界面 34413.3.2 TetraMAX的基本流程 34513.3.3 ATPG测试向量生成 34613.4 DFT设计实例 34813.4.1 设计代码编写 34813.4.2 综合并插入扫描链的过程 34913.4.3 ATPG自动测试矢量生成 351第三部分 Linux操作系统及其他1

封面

模拟集成电路与数字集成电路设计工具实用教程

书名:模拟集成电路与数字集成电路设计工具实用教程

作者:韩雁

页数:400

定价:¥65.0

出版社:电子工业出版社

出版日期:2017-09-01

ISBN:9787121320248

PDF电子书大小:117MB 高清扫描完整版

百度云下载:http://www.chendianrong.com/pdf

发表评论

邮箱地址不会被公开。 必填项已用*标注